CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 除法

搜索资源列表

  1. VHDL除法器

    0下载:
  2. 用vhdl实现除法器,很好用,经过验证!
  3. 所属分类:源码下载

  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. divider

    1下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:900
    • 提供者:jh
  1. divider

    0下载:
  2. 16位有符号整数除法,将商并入移位后的被除数,节省资源。-16-bit signed integer division, will shift into business after the dividend, saving resources.
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:593
    • 提供者:treeyellow
  1. vhd_divider

    0下载:
  2. lattice isplever7竟然没有除法库,只好在网上找了老外写的vhdl除法器-lattice isplever7 Treasury did not divide, so the Internet to find a foreigner to write the VHDL divider
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:6232
    • 提供者:guyh
  1. divide

    0下载:
  2. 除法器-Divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:wangzhide
  1. CRC

    0下载:
  2. 通过对于模2除法的研究 可以得到如下方法: 1. 把信息码后面加上p-1位的0,这个试验中p是6位,即在输入的信息码后面加上“00000”。把这个17位的被除数放入input中。 2. 在得到被除数input之后,设计一个在被除数上移动的数据滑块变量d,把input中的最高位开始逐次复制给变量d。 3. 如果d的最高位为1,由变量d和变量p做异或运算;如果d的最高位为0则不运算或者做多余的异或‘0’的运算。 4. 把滑块变量d往后滑动一位。 5. 循环步骤(3,4)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:6033
    • 提供者:lijq
  1. Divider

    0下载:
  2. 一个用vhdl硬件描述语言实现的一个比较简单的除法器-an divider using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:148536
    • 提供者:maxpayne
  1. VHDL

    0下载:
  2. VHDL的4bit*4bit的有符号无符号的乘法除法实现-VHDL unsigned signed to achieve the multiplication division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:9814
    • 提供者:cgy
  1. 在VHDL中实现高精度快速除法

    2下载:
  2. 高精度的浮点数除法运算,基于浮点运算的FPGA实现,单精度浮点数-High-precision floating-point division operation, the FPGA based on the realization of floating-point operations, single precision floating point
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-19
    • 文件大小:81437
    • 提供者:jiachen
  1. chufaqichengxu

    0下载:
  2. 除法器程序,除法器模块,定点数除法的相关代码。-Divider procedures, divider module, the related fixed-point code division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:391808
    • 提供者:jiachen
  1. cpu(FinalWithYS)

    0下载:
  2. verilog实现的八位CPU,包括乘法、除法以及多种寻址方式。代码中包括测试模块,可以直接在试验箱上运行。-verilog to achieve the eight CPU, including multiplication, division, as well as addressing a variety of ways. Code, including test modules, can be run directly in the chamber.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7958
    • 提供者:鲁迪
  1. 4_bit_division

    0下载:
  2. 4位除法器,文件内容为QUARTUS II支持的VHDL语言,用于做四位除法-4_bit_division
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:634
    • 提供者:shenglinfeng
  1. HG_chufaqi_clajiafaqi

    1下载:
  2. VHDL基-16位的无符号除法器,超前进位加法器可改位数。-VHDL-based-16 bit unsigned divider, CLA can be the median.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2335
    • 提供者:Huanggeng
  1. diverse

    0下载:
  2. 该pdf 详细介绍了 提高除法电路的方法。和设计出发电路时要注意的问题, 介绍了各种除法设计代码-Pdf details the divider circuit to improve the method. And design of the starting circuit should pay attention to the issue of when to introduce a variety of design code division
  3. 所属分类:Editor

    • 发布日期:2017-04-02
    • 文件大小:258230
    • 提供者:gjp_rain
  1. rsa

    0下载:
  2. 用VHDL求rsa加密系统的密钥D(辗转相除法)-Using VHDL for rsa key encryption system D(Division algorithm)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:2385249
    • 提供者:齐娜
  1. fpga_chufaqi

    0下载:
  2. 基于fpga的32位除法器的设计,开发环境vhdl-Fpga-based 32-bit divider design, development environment vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:264931
    • 提供者:贾恒龙
  1. ALU

    1下载:
  2. 用VHDL硬件描述语言写的ALU设计,有加法,减法,乘法和除法等计算功能。-VHDL hardware descr iption language used to write the ALU design, there are addition, subtraction, multiplication and division such as computing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3390
    • 提供者:飞翔
  1. VHDL-test-code-divider

    0下载:
  2. VHDL实验代码:除法器,是一个基于VHDL语言开发的小程序,是关于除法的算法,比较实用-VHDL test code: divider, is a VHDL-based language developed by a small program, on the division algorithm, more practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1529
    • 提供者:Johonson
« 12 »
搜珍网 www.dssz.com